Published in News

Intel announces new 14A node at IFS Direct Connect 2024 event

by on23 February 2024


Clearwater Forest taped-out, five nodes goal still on track

During its Intel Foundry Services (IFS) Direct Connect 2024 event, Intel revealed a new roadmap that includes the new 14A node, the first on High-NA EUV. Intel was also keen to note that Clearwater Forest CPUs are taped out on an 18A node and that its ambitious "five nodes in four years" (5N4Y) plan is still on track.

Intel kicked off its IFS Direct Connect 2024 with a big customer as Microsoft announced it will build chips on Intel's 18A process node, and it is just the start of things to come, at least according to Intel's CEO, Pat Gelsinger. Gelsinger wants Intel to be "the foundry for the world", opened to everyone, and making Nvidia, AMD, Qualcomm, TPUs for Google, inference chips for AWS, and pretty much anyone that wants access to Intel's foundry. Intel CEO is quite confident, and rightly so, because the company is on track to deliver on its five nodes in four years (5N4Y) plan and is on a solid track to take leadership from TSMC in 2025.

Intel first teased the crowd with a well-known roadmap, one that ends with Intel 18A, only to show that its 5N4Y on track. This means that Intel 7 and Intel 4 are on the market, Intel 3 is ready for high-volume manufacturing, and Intel 20A and Intel 18A are on track. Intel 18A is ready for design start with full product design from Q2 this year, and Intel was also keen to note that Clearwater Forest is taped-out, combining 18A with Intel 3 die using Intel 3D Foveros packaging.

intel ifsdirect 1

Additionally, Intel has revealed the new "post 5N4Y" roadmap, showing the new Intel 14A process, the first one to use High-NA EUV lithography, recently delivered from ASML. In addition, you can see additional "feature extension", "performance improvement", and "through silicon vias for 3D stacking" variations of processes, stretching beyond 2024.

intel ifsdirect 2

Intel is doing quite well and steadily delivering on its promises and its roadmaps. Intel Foundry Services (IFS) is also doing quite well, with big names lining up as customers, it should do well, especially with Intel 20A, Intel 18A node, and beyond. The Intel 20A and Intel 18A both use PowerVia chip fabrication technology with backside power deliver implementation, which puts it ahead of TSMC, and, as noted, Intel 14A will be the first to use high-NA EUV lithography machines from ASML.

 

Rate this item
(4 votes)

Read more about: