Published in News

Intel's 18A makes milestones

by on07 August 2024


A jolly good show in a bleak year

While Chipzilla is having an annus horribilis this year with its share price and profits falling and faulty chips, it has had some good news about the successful booting of its next-generation Panther Lake and Clearwater Forest CPUs.

For those who came in late, these chips are part of the much-anticipated 18A process node, are now up and running, marking a significant milestone for the tech giant.

Intel's 18A process node is on a steady course for production in the first half of 2025. The Panther Lake CPUs, designed for client systems, and the Clearwater Forest CPUs, aimed at servers, are both expected to hit the market in the latter half of 2025. This progress comes hot on the heels of Intel's Q2 earnings call, where the company reaffirmed its commitment to the 18A node.

Chipzilla has achieved this milestone in less than two quarters after the initial tape-out which might indicate that the 18A process technology is solid and could be a winner for the coming AI rush.

Intel's latest announcement reveals that its lead products on the 18A node, the Panther Lake (AI PC client processor) and Clearwater Forest (server processor), have successfully powered on and booted operating systems. Both products on track to start production in 2025. Additionally, Intel has announced that the first external customer is expected to tape out on the 18A node in the first half of next year.

In July, Intel released the 18A Process Design Kit (PDK) 1.0, a suite of design tools that enable foundry customers to harness the capabilities of RibbonFET gate-all-around transistor architecture and PowerVia backside power delivery. This offers significant improvements in performance per watt, transistor density, and cell use.

The successful booting of Panther Lake and Clearwater Forest without additional configurations or modifications is a clear indication of the health of Intel's 18A process technology. Other positive signs include Panther Lake DDR memory performance already running at target frequency. Next year's Clearwater Forest, the archetype of future CPU and AI chips, will mark the industry's first mass-produced, high-performance solution combining RibbonFET, PowerVia, and Foveros Direct 3D for higher density and power handling.

Intel's EDA and IP partners are updating their tools and design flows to enable external foundry customers to begin their Intel 18A chip designs. This critical milestone for Intel's foundry business ensures that customers can use the full potential of the 18A process node.

Intel's 18A process node is on a steady trajectory for production in 2025. With RibbonFET and PowerVia, foundry customers will unlock greater processor scale and efficiency, driving the future of AI computing forward. Intel aims to achieve a leadership position in both client and server segments by 2025, and with these advancements, it seems well on its way.

Last modified on 07 August 2024
Rate this item
(0 votes)